websgu
Chào mừng các bạn đã đến với Diễn đàn ĐH Sài Gòn , vui lòng đăng kí thành viên để tham gia diễn đàn https://websgu.forumvi.com
websgu
Chào mừng các bạn đã đến với Diễn đàn ĐH Sài Gòn , vui lòng đăng kí thành viên để tham gia diễn đàn https://websgu.forumvi.com
Have an account? Register

You are not connected. Please login or register

Ưu Đãi Khóa Học Sơ Cấp "Thiết Kế Vi Mạch" - Đào Tạo Kỹ Sư Vi Mạch

Go down  Thông điệp [Trang 1 trong tổng số 1 trang]

semicon.thuydao



Ưu Đãi Khóa Học Sơ Cấp "Thiết Kế Vi Mạch" - Đào Tạo Kỹ Sư Vi Mạch Logo
Đào Tạo Thiết Kế Vi Mạch Chuyên Nghiệp!

Khóa Học Sơ Cấp

Thiết Kế Vi Mạch

TTĐT Thiết Kế Vi Mạch Semicon mời Bạn đến tham gia Buổi Khai Giảng Khóa Học Sơ Cấp Vi Mạch, đào tạo Kỹ Sư Vi Mạch.

Hạn đăng ký: 20/10/2013

Tại: Văn Phòng Kỳ Duyên Lô A10 Đường Trục F.13 Q.Bình Thạnh, Tp.HCM

- Thời gian đào tạo  : 3 tháng /Khóa

- Lịch học:

 + Tối Thứ 2, 4, 6 lúc 18:30 - 20:30 (2 tiếng/buổi)
 + Tối Thứ 3, 5, 7 lúc 18:30 - 20:30 (2 tiếng/buổi)

- HotLine: 0972 800 931 (Ms Thủy )

ƯU ĐÃI

Nhân dịp Kỷ Niệm 6 năm thành lập Semicon ( 2007 - 2013 ).
Khóa Học Sơ Cấp Vi Mạch vào tháng 10    
GIẢM 10%
3.000.000 đồng  =>  2.700.000 đồng
Đăng ký để nhận ƯU ĐÃI của SEMICON

http://www.semiconvn.com/home/component/jforms/1/110.html


Nội dung Khóa Học

Kiến thức về ASIC và ngôn ngữ lập trình (Verilog, Assembly, C, ngôn ngữ script trong Linux)

  Bài học Lý thuyết về:

. Phần 1: Principles of ASIC Design.
. Phần 2: ASIC Design Flow, ASIC Architecture, FPGA Architecture
. Phần 3: FPGA & ASIC Advantages
. Phần 4: Unit Cost Analysis
. Phần 5: Time to Market
. Phần 6: Design Cycle
. Phần 7: FPGA & ASIC ; Design Flow Comparison
. Phần 8: FPGA and ASIC Design Flows , Fundamentally Similar

   Bài học Thực hành:


. Phần 1: Linux Commands
. Phần 2: Sử dụng trình soạn thảo Vi
. Phần 3: Lập Trình Shell
. Phần 4: Research modelSim tool Simulator
. Phần 5: Practice verilog with compination circuit
. Phần 6: Design Decoder Block:
. Phần7: The Block diagram for testbench IP Decoder
. Phần 8: Design Encoder Block.
. Phần 9: The Block diagram for testbench IP Encoder
. Phần 10: Basic IP Core
. Phần 11: Testbech Block for Basic IP Core
. Phần 12: 8 BIT TIMER

****Phần mềm
bên trung tâm dạy là phần mềm Modelsim/Questasim của Tập Đoàn Mentor Graphics.

Giáo Trình do Trung Tâm tổng hợp và chọn lọc. Kiến thức học tại Semicon hoàn toàn giống như các Kỹ Sư Vi Mạch làm việc tại công ty Vi Mạch.

   Và còn vô số các kỹ năng khác…đang chờ bạn…

   Đặc biệt: Trong các buổi học Lý Thuyết , bạn sẽ ứng dụng Tiếng Anh giao tiếp với giảng viên dạy trong các phút đầu cảu buổi học.

Nội dung học hoàn toàn thực tế cho 1 kỹ sư vi mạch.

-  Nghiên cứu quy trình thiết kế IC
- Review lại kiến thức kỹ thuật số: Mạch tổ hợp và mạch tuần tự
- Học ngôn ngữ mô tả phẩn cứng Verilog (Hardware Description Language).
-  Ứng dụng Verilog trong việc thiết kế phần kỹ thuật số. Ứng dụng thiết kế IP đơn giản. IP(Intellectual Property) như là: USB, PCI, DDRAM, SRAM,
- Ứng dụng Verilog xây dựng môi trường mô phỏng để kiểm tra thiết kế. Môi trường mô phỏng như là một cái mạch để mà đặt bảng thiết kế(DUT – Design Under Test) vào mà kiểm tra xem thiết kế có lỗi hay không? Sau khi xây dựng môi trường mô phỏng (Simulation Environment), chúng ta có thể kiểm tra con chip như đang trên con chip thật bằng những ngôn ngữ lập trình: ngôn ngữ C, ngôn ngữ Assembler, Verilog, System Verilog, …              


  Mọi chi tiết về khóa học vui lòng liên hệ:
             Hot Line: 0972 800 931 (Ms Thủy )
             Email:  semicon.thuy@gmail.com
             Website: http://www.thietkevimach.com

Chúc bạn có những kiến thức bổ ích cùng chúng tôi. Hẹn gặp bạn trong khóa học!

Ưu Đãi Khóa Học Sơ Cấp "Thiết Kế Vi Mạch" - Đào Tạo Kỹ Sư Vi Mạch Semicon_01

Về Đầu Trang  Thông điệp [Trang 1 trong tổng số 1 trang]

Permissions in this forum:
Bạn không có quyền trả lời bài viết



Powered by Punbb - Hosted by Forumotion
Copyright ©Cntt-k3
Rip by Chupy